1" Vim filetype plugin file 2" Language: SystemVerilog 3" Maintainer: kocha <[email protected]> 4" Last Change: 07-May-2021 5 6if exists("b:did_ftplugin") 7 finish 8endif 9 10" Behaves just like Verilog 11runtime! ftplugin/verilog.vim 12 13let s:cpo_save = &cpo 14set cpo&vim 15 16" Add SystemVerilog keywords for matchit plugin. 17if exists("loaded_matchit") 18 let b:match_words = 19 \ '\<begin\>:\<end\>,' . 20 \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' . 21 \ '\<module\>:\<endmodule\>,' . 22 \ '\<if\>:`\@<!\<else\>,' . 23 \ '\<function\>:\<endfunction\>,' . 24 \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' . 25 \ '\<task\>:\<endtask\>,' . 26 \ '\<specify\>:\<endspecify\>,' . 27 \ '\<config\>:\<endconfig\>,' . 28 \ '\<generate\>:\<endgenerate\>,' . 29 \ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' . 30 \ '\<primitive\>:\<endprimitive\>,' . 31 \ '\<table\>:\<endtable\>,' . 32 \ '\<checker\>:\<endchecker\>,' . 33 \ '\<class\>:\<endclass\>,' . 34 \ '\<clocking\>:\<endclocking\>,' . 35 \ '\<group\>:\<endgroup\>,' . 36 \ '\<interface\>:\<endinterface\>,' . 37 \ '\<package\>:\<endpackage\>,' . 38 \ '\<program\>:\<endprogram\>,' . 39 \ '\<property\>:\<endproperty\>,' . 40 \ '\<sequence\>:\<endsequence\>' 41endif 42 43let &cpo = s:cpo_save 44unlet s:cpo_save 45