1*5c73622aSBram Moolenaar" Vim syntax file 2*5c73622aSBram Moolenaar" Language: SDC - Synopsys Design Constraints 3*5c73622aSBram Moolenaar" Maintainer: Maurizio Tranchero - [email protected] 4*5c73622aSBram Moolenaar" Last Change: Thu Mar 25 17:35:16 CET 2009 5*5c73622aSBram Moolenaar" Credits: based on TCL Vim syntax file 6*5c73622aSBram Moolenaar" Version: 0.3 7*5c73622aSBram Moolenaar 8*5c73622aSBram Moolenaar" Quit when a syntax file was already loaded 9*5c73622aSBram Moolenaarif exists("b:current_syntax") 10*5c73622aSBram Moolenaar finish 11*5c73622aSBram Moolenaarendif 12*5c73622aSBram Moolenaar 13*5c73622aSBram Moolenaar" Read the TCL syntax to start with 14*5c73622aSBram Moolenaarruntime! syntax/tcl.vim 15*5c73622aSBram Moolenaar 16*5c73622aSBram Moolenaar" SDC-specific keywords 17*5c73622aSBram Moolenaarsyn keyword sdcCollections foreach_in_collection 18*5c73622aSBram Moolenaarsyn keyword sdcObjectsQuery get_clocks get_ports 19*5c73622aSBram Moolenaarsyn keyword sdcObjectsInfo get_point_info get_node_info get_path_info 20*5c73622aSBram Moolenaarsyn keyword sdcObjectsInfo get_timing_paths set_attribute 21*5c73622aSBram Moolenaarsyn keyword sdcConstraints set_false_path 22*5c73622aSBram Moolenaarsyn keyword sdcNonIdealities set_min_delay set_max_delay 23*5c73622aSBram Moolenaarsyn keyword sdcNonIdealities set_input_delay set_output_delay 24*5c73622aSBram Moolenaarsyn keyword sdcNonIdealities set_load set_min_capacitance set_max_capacitance 25*5c73622aSBram Moolenaarsyn keyword sdcCreateOperations create_clock create_timing_netlist update_timing_netlist 26*5c73622aSBram Moolenaar 27*5c73622aSBram Moolenaar" command flags highlighting 28*5c73622aSBram Moolenaarsyn match sdcFlags "[[:space:]]-[[:alpha:]]*\>" 29*5c73622aSBram Moolenaar 30*5c73622aSBram Moolenaar" Define the default highlighting. 31*5c73622aSBram Moolenaarhi def link sdcCollections Repeat 32*5c73622aSBram Moolenaarhi def link sdcObjectsInfo Operator 33*5c73622aSBram Moolenaarhi def link sdcCreateOperations Operator 34*5c73622aSBram Moolenaarhi def link sdcObjectsQuery Operator 35*5c73622aSBram Moolenaarhi def link sdcConstraints Operator 36*5c73622aSBram Moolenaarhi def link sdcNonIdealities Operator 37*5c73622aSBram Moolenaarhi def link sdcFlags Special 38*5c73622aSBram Moolenaar 39*5c73622aSBram Moolenaarlet b:current_syntax = "sdc" 40*5c73622aSBram Moolenaar 41*5c73622aSBram Moolenaar" vim: ts=8 42